Welcome![Sign In][Sign Up]
Location:
Search - FPGA uart

Search list

[VHDL-FPGA-VerilogS7_UART

Description: 利用FPGA实现串口通信,很好的学习资料 尤其是对 verilog不熟的朋友-FPGA realization of the use of serial communications, a very good learning materials especially in the wake of a friend Verilog
Platform: | Size: 468992 | Author: 杜菲 | Hits:

[SCMnew-lins-uart-all

Description: 无私奉献,VHDL 源码,用于实现FPGA上的UART(串口控制器),可以实现FPGA与单片机,PC机的串口通讯。-Selfless dedication, VHDL source code for the FPGA realization of the UART (serial port controller), you can realize FPGA and MCU, PC serial communication machine.
Platform: | Size: 6144 | Author: 骑士 | Hits:

[VHDL-FPGA-Verilogxilinx_uart_vhdl

Description: 这是xilinx公司的uart源代码,希望对需要的朋友有所帮助-This is the Xilinx
Platform: | Size: 10240 | Author: adsjkloi | Hits:

[VHDL-FPGA-VerilogFPGA-VHDL

Description: FPGA相关硬件仿真程序,编译环境QUARTER Ⅱ。-FPGA hardware simulation program, the compiler environment QUARTER Ⅱ.
Platform: | Size: 493568 | Author: 黄国江 | Hits:

[VHDL-FPGA-VerilogUART

Description: 用FPGA实现了RS232异步串行通信,所用语言是VHDL,另外本人还有Verilog的欢迎交流学习,根据RS232 异步串行通信来的帧格式,在FPGA发送模块中采用的每一帧格式为:1位开始位+8位数据位+1位奇校验位+1位停止位,波特率为2400。由设置的波特率可以算出分频系数,具体算法为分频系数X=CLK/(BOUND*2)。-Using FPGA to achieve the RS232 asynchronous serial communication, the language used is VHDL, In addition, I also welcome the exchange of learning Verilog, according to RS232 asynchronous serial communication to the frame format, in the FPGA module used to send each frame format : the beginning of a bit+ 8-bit data bit+ 1 bit odd parity bit+ 1 bit stop bit, baud rate for 2400. By setting the baud rate can be calculated at the frequency coefficient, the specific algorithm for the sub-frequency coefficient X = CLK/(BOUND* 2).
Platform: | Size: 1024 | Author: saibei007 | Hits:

[VHDL-FPGA-VerilogUart

Description: 用FPGA,VHDL实现的Uart核,quartusII完整工程,实用-Using FPGA, VHDL realize the UART core, quartusII complete projects, practical
Platform: | Size: 631808 | Author: wanyou | Hits:

[VHDL-FPGA-Verilogscorce

Description: FPGA驱动1602LCD程序,在实验板上实验成功,和大家分享!^_^-FPGA-driven 1602LCD procedures, the success of the experiment on-board experiments, and the U.S. to share! ^ _ ^
Platform: | Size: 2048 | Author: whq | Hits:

[VHDL-FPGA-Veriloguart

Description: M_UART 介绍了通用异步收发器(UART)的原理,并以可编程逻辑器件FPGA为核心控制部件,基于超高速硬件描述语言VHDL在Xilinx公司的SpartanⅡ系列的2sc200PQ208-5芯片上编程完成UART的设计。经测试,该设计完全达到了设计要求。-M_UART introduce a Universal Asynchronous Receiver Transmitter (UART) Principle and FPGA programmable logic device as the core control unit, based on the ultra-high-speed hardware description language VHDL in Xilinx
Platform: | Size: 18432 | Author: lc | Hits:

[VHDL-FPGA-VerilogUART

Description: 串口通讯 verilog CPLD EPM1270 源代码-Serial Communication verilog CPLDEPM1270 source code
Platform: | Size: 56320 | Author: 韩思贤 | Hits:

[VHDL-FPGA-Verilogfpga_uartrw

Description: FPGA的uart控制器的verilog源程序,在cyclone II EP2C8Q208上调试运行成功-FPGA s UART controller Verilog source code, in cyclone II EP2C8Q208 debugging run successfully
Platform: | Size: 55296 | Author: 蒋斌斌 | Hits:

[VHDL-FPGA-VerilogUART

Description: 基于FPGA的UART实现 用VHDL编程-The UART-based FPGA using VHDL Programming
Platform: | Size: 524288 | Author: hyj1954 | Hits:

[ARM-PowerPC-ColdFire-MIPSNiosII_example

Description: FPGA中niosII的应用实例--包括PIO,UART,DMA,ISR等的应用,比较基础,适合初学者比较透彻理解NiosII的应用-FPGA application in niosII- including PIO, UART, DMA, ISR and other applications, basis of comparison, suitable for beginners relatively thorough understanding of the application of NiosII
Platform: | Size: 8192 | Author: 刘彩苗 | Hits:

[Com Portfeng_rs0

Description: 基于FPGA的串口通信,PC给FPGA发送数据,FPGA收到数据并返回给PC-FPGA-based serial communications, PC to the FPGA to send data, FPGA Receive data and return to the PC
Platform: | Size: 305152 | Author: 王OO | Hits:

[VHDL-FPGA-Veriloguart8

Description: 使用Libero提供的异步通信IP核实现UART通信,并附带仿真程序。UART设置为1位开始位,8位数据位,1位停止位,无校验。且UART发送自带2级FIFO缓冲,占用FPGA面积很小。-Libero provided the use of asynchronous communication IP core implementation UART communications, and incidental simulation program. UART is set to 1 to start bit, 8 data bits, 1 stop bit, no parity. UART and send its own two FIFO buffer occupancy is very small FPGA.
Platform: | Size: 876544 | Author: 张键 | Hits:

[Industry researchUART_DESIGN

Description: The use of hardware description languages (HDLs) is becoming increasingly common for designing and verifying FPGA designs. Behavior level description not only increases design productivity, but also provides unique advantages for design verification. The most dominant HDLs today are Verilog and VHDL. This application note illustrates the use of Verilog in the design and verification of a digital UART (Universal Asynchronous Receiver & Transmitter).
Platform: | Size: 141312 | Author: ltrko9kd | Hits:

[VHDL-FPGA-Veriloguart_ise_vhdl

Description: fpga里实现 uart 经典 vhdl语言写的 ise工程文件-fpga implementation in vhdl language classic uart of ise project file
Platform: | Size: 22528 | Author: 孙俪 | Hits:

[VHDL-FPGA-Veriloguart

Description: 带自适应波特率发生器UART实现,经过FPGA验证的!-UART baud rate generator with adaptive realization, after FPGA validation!
Platform: | Size: 6144 | Author: guochao | Hits:

[VHDL-FPGA-VerilogUART

Description: UART是一种广泛应用于短距离、低速、低成本通信的串行传输接口.由于常用UART芯片比较复杂且移植性差,提出一种采用可编程器件FPGA实现UART的方法, 实现了对UART的模块化设计.首先简要介绍UART的基本特点,然后依据其系统组成设计顶层模块,再采用有限状态机设计接收器模块和发送器模块,所有功能的实现全部采用VHDL进行描述,并用Modelsim软件对所有模块仿真实现.最后将UART的核心功能集成到FPGA上,使整体设计紧凑,小巧,实现的UART功能稳定、可靠. -UART is a widely used short-range, low-speed, low-cost serial transmission interface communication. Because of the complexity of common UART chip and poor transplant, using a programmable FPGA devices to achieve UART way of the realization of the UART modular design. First of all, a brief introduction of the basic characteristics of UART, and then according to their top-level module system design, and then the design of finite state machine receiver module and transmitter module, the realization of all the features to describe the use of VHDL and Modelsim software used Simulation of all modules. Finally, the UART core functionality into the FPGA, so that the overall design of compact, compact, the UART function of the realization of stable and reliable.
Platform: | Size: 38912 | Author: 徐明宝 | Hits:

[VHDL-FPGA-Veriloguart_receiver

Description: This UART Receiver interface C code Tested on Sparton 3 xilinx FPGA.-This is UART Receiver interface C code Tested on Sparton 3 xilinx FPGA.
Platform: | Size: 1024 | Author: bhagwan | Hits:

[VHDL-FPGA-Veriloguart_transmitter

Description: This UART Transmitter interface C code Tested on Sparton 3 xilinx FPGA.-This is UART Transmitter interface C code Tested on Sparton 3 xilinx FPGA.
Platform: | Size: 1024 | Author: bhagwan | Hits:
« 1 2 3 45 6 7 8 9 10 ... 26 »

CodeBus www.codebus.net